CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 矩阵 键盘

搜索资源列表

  1. scan_LED

    0下载:
  2. 1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F-1) input device for the 4* 4 matrix keyboard,
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:199845
    • 提供者:王广玉
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. keyscaner

    1下载:
  2. 自己设计的矩阵键盘扫描程序,实现5X4键盘扫描,带有去抖和中断功能。-Their own design matrix keyboard scanner, to achieve 5X4 keypad scanning, to shaking and interrupt functions with.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-12
    • 文件大小:1802
    • 提供者:杨明
  1. key_scan1

    0下载:
  2. 用verilog语言描述的键盘扫描程序,用于FPGA芯片以及矩阵键盘的测试。-With verilog language keypad scanning procedure.For the FPGA chip and matrix of the keyboard.
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-31
    • 文件大小:200100
    • 提供者:张启梅
  1. jianpan

    0下载:
  2. 用FPGA单片机软核实现键盘扫描,键盘为4X4矩阵键盘,输入相应键值,用数码管显示-keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5071744
    • 提供者:
  1. key_matrix44

    1下载:
  2. FPGA EP1C6Q240C8 4*4键盘模块 4*4矩阵键盘,采用扫描方式检测按键-FPGA EP1C6Q240C8 4* 4 keyboard module 4* 4 matrix keyboard, using scanning detection button
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-23
    • 文件大小:278801
    • 提供者:lan
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. keyboardcontroller

    0下载:
  2. 键盘控制器VHDL代码 该控制器实时扫描矩阵键盘的行列,当用户有按键按下时,可以定位到对应的按键并产生一个中断信号-Keyboard controller entity -- -- The controller scans the columns, cols, by making a different column logic-0 -- therefor the inputs have to be pull-up high. It processes the input,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7184
    • 提供者:郑佛少
  1. CPLD_KEYBOARD

    0下载:
  2. 本设计是用VHDL语言来实现的基于RS232按位串行通信总线的行列式矩阵键盘接口电路,具有复位和串行数据的接收与发送功能,根据发光二极管led0—led2的显示状态可判断芯片的工作情况;实现所有电路功能的程序均是在美国 ALTERA公司生产的具有现场可编程功能的芯片EPM7128SLC84-15上调试通过的。该电路的设计贴近生活,实用性强,制成芯片后可作为一般的PC机键盘与主机的接口使用。 -The design is based on VHDL language to achieve
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:67450
    • 提供者:jalon
  1. jianpanjiekoups2

    0下载:
  2. 【原创】44矩阵键盘接口程序(VHDL)(2009-10-27 201747) 标签:矩阵键盘vhdl杂谈 初级版:支持输入三个十位数字组成的两个操作数加减与或比较运算,零占位不可省。 程序代码:-【Original】 44 matrix keyboard interface program (VHDL) (2009-10-27 201747) Tags: Matrix keyboard vhdl Zatan junior version: support the i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2840
    • 提供者:hooyu
  1. matrixkeyscan

    0下载:
  2. 4*4矩阵键盘的VHDL控制语句,我自己编写的,简单易懂,需要的朋友可以参考-4* 4 matrix keyboard VHDL control statements, I have written, easy to understand, you can reference to see if someone need
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:119767
    • 提供者:无真实
  1. ElectronicLocks

    2下载:
  2. 电子密码锁为三位密码,由输入部分,控制部分和输出部分组成。其中输入部分包括4×4矩阵键盘、弹跳消除电路、键盘扫描电路、键盘译码电路;控制部分包括按键存储电路、密码修改电路、比较电路;输出部分主要是七段译码显示器。当输入三位正确密码时,一个L1发光二极管亮,指示门打开;当输入密码错误时,另外一个发光二极管亮,此时可以通过开锁开关(复位开关)重新输入密码。若想实现密码更改,可重新按下上锁开关设置密码,即实现密码更改功能。-Electronic locks on the three passwords
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-03-24
    • 文件大小:41893
    • 提供者:sunnan
  1. key44

    1下载:
  2. VHDL描述4*4标准矩阵键盘扫描读取并点亮对应的LED灯,试验已经通过。可能还差一些按键的防抖,但不影响整体测试-VHDL descr iption of the standard 4* 4 matrix keyboard scan read and the corresponding LED lights lit the pilot had been adopted. Stabilization may be even worse some of the buttons, it does n
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:135969
    • 提供者:
  1. FPGA_Interface_Equipment

    0下载:
  2. 跑马灯、串口、矩阵键盘、蜂鸣器、I2C、数码管、拨码开关 vhdl verilog源代码(精华)-Marquees, serial port, matrix keypad, buzzer, I2C, digital control, DIP switch vhdl verilog source code (extract)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1591450
    • 提供者:李银
  1. ASM

    0下载:
  2. 按键扫描程序 ASM。1. 键盘采用4*5矩阵键盘,含有两个组合键. 2. 硬件连接比较混乱,且各个按键的排列与面贴上的键码对应混乱.如果采用教科书上常规矩阵键盘的 扫描方式实现比较繁琐. 3. 需要识别两个双键,但这两个双键位置比较特殊K17,K18和K19,K20. 4. 基于2,3两点.这里采用列,行分别输出全零,读取行,列的引脚电平信息.综合这两组信息查表获取键值. 对于单键按下,有两个位为0.对于两个按键按下,有3或4个位为0. -Key scanne
  3. 所属分类:Scanner

    • 发布日期:2017-03-29
    • 文件大小:11134
    • 提供者:dong
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. VHDL-Keyboard

    0下载:
  2. 设计制作一个检测4*4矩阵键盘的按键编码的实验,把实际按键的键值的八位编码先转换成从0000—1111的编码,再译成数码管能识别的八位编码,在数码管动态显示时,4*4矩阵键盘的第一行对应00—03,第二行对应04—07,第三行08—11,第四行对应12—15。-Design a 4* 4 matrix keyboard key coding experiments to detect the key the actual key octet coded first convert from 00
  3. 所属分类:MiddleWare

    • 发布日期:2017-03-26
    • 文件大小:15746
    • 提供者:zj
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. saomiaojianpan

    0下载:
  2. 用于扫描矩阵键盘,并将扫描到的键盘值用数码管显示(Used to scan the matrix keyboard and display the scanned keyboard value with a digital tube)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:6719488
    • 提供者:cfplhys
  1. 课程设计-数字钟

    2下载:
  2. 具有计时 设置时间 闹钟 秒表 功能的数字钟设计 外设矩阵键盘(Digital clock design peripheral matrix keyboard with the function of timing setting time alarm clock stopwatch)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-07-15
    • 文件大小:13769728
    • 提供者:peennnnnn
« 12 3 »
搜珍网 www.dssz.com